Changes

Jump to navigation Jump to search
80 bytes removed ,  07:49, 21 August 2023
no edit summary
Line 1: Line 1: −
<languages/>
  −
<translate>
   
<!--T:1-->
 
<!--T:1-->
{{RevisionChanger | hasA = 1 | hasC = 1}}
+
 
 
{{Infobox module
 
{{Infobox module
| name      = BW-RGB.C
+
| name      = LED-DRV
| image      = BW-RGBC.png
+
| image      = LED-DRV.png
| outCount  = 3
+
| outCount  = 4
 
| peakl      = 1A (12W at 12V)
 
| peakl      = 1A (12W at 12V)
 
| voltage    = 11.5...27.5 V DC
 
| voltage    = 11.5...27.5 V DC
Line 109: Line 107:  
<item addr="355:98" cfgid="175" hw="dm='DDD' f=1000 runtime=20" name="Temperature" system="yes" type="temperature-sensor" uniq_id="47"/>
 
<item addr="355:98" cfgid="175" hw="dm='DDD' f=1000 runtime=20" name="Temperature" system="yes" type="temperature-sensor" uniq_id="47"/>
 
</syntaxhighlight>
 
</syntaxhighlight>
</translate>
 
editor, Interface administrators, Administrators, translation-admin, translator
9,706

edits

Navigation menu